主要内容

减少时钟使和复位信号参数

居住在本页面描述参数HDL代码生成>全局设置>港口选项卡的配置参数对话框。

减少时钟使

省略一代的时钟为单频启用逻辑设计。

设置

默认值:

对于单频模型,尽可能省略一代的时钟使逻辑。下列硬件描述语言(VHDL)®代码示例没有定义或检查时钟启动信号。当时钟信号(clk)高,电流信号值的输出。

Unit_Delay_process:过程(时钟、复位)开始如果重置= ' 1 '然后Unit_Delay_out1 < = to_signed (0, 32);ELSIF clk 'EVENT clk = ' 1 '然后Unit_Delay_out1 < = In1_signed;如果;结束过程Unit_Delay_process;

生成时钟使逻辑。下面的硬件描述语言(VHDL)代码提取代表一个注册一个时钟使(enb)

Unit_Delay_process:过程(时钟、复位)开始如果重置= ' 1 '然后Unit_Delay_out1 < = to_signed (0, 32);ELSIF clk 'EVENT clk = ' 1 '如果enb = ' 1 '然后Unit_Delay_out1 < = In1_signed;如果;如果;结束过程Unit_Delay_process;

异常

在某些情况下,高密度脂蛋白编码器™发出时钟使即使减少时钟使被选中。这些情况是:

  • 寄存器内启用、State-Enabled和触发子系统。

  • 多重速率的模型。

  • 程序员总是发出时钟使以下模块:

    • commseqgen2 / PN序列发生器

    • dspsigops /甲

      请注意

      高密度脂万博1manbetx蛋白支持NCO块将在未来的版本中被删除。使用NCO HDL优化块来代替。

    • dspsrcs4 /正弦波

    • hdldemolib / HDL FFT

    • 内置/ DiscreteFir

    • dspmlti4 /中投大量毁灭

    • dspmlti4 /中投插值

    • dspmlti4 /冷杉大量毁灭

    • dspmlti4 /冷杉插值

    • dspadpt3 / LMS滤波器

    • dsparch4 / Biquad过滤器

请注意

如果你的设计使用一个内存块等双速率双端口RAM内存架构设置为没有时钟使通用的RAM,代码生成器忽略了减少时钟使设置。

命令行信息

属性:MinimizeClockEnables
类型:特征向量
值:“上”|“关闭”
默认值:“关闭”

要设置该属性,使用功能hdlset_parammakehdl。要查看属性值,可以使用函数hdlget_param

例如,尽量减少时钟使信号时产生的HDL代码symmetric_fir子系统内部的sfir_fixed模型中,使用这两种方法。

  • 通过财产作为参数makehdl函数。

    makehdl (“sfir_fixed / symmetric_fir”,“MinimizeClockEnables”,“上”)
  • 当你使用hdlset_param,你可以设置的参数模型,然后生成HDL代码使用makehdl

    hdlset_param (“sfir_fixed”,“MinimizeClockEnables”,“上”)makehdl (“sfir_fixed / symmetric_fir”)

依赖

这个选项将被忽略,当HDL编码标准被设置为行业

减少全球重置

省略一代的重置HDL代码中的逻辑。

设置

默认值:

启用该设置时,代码生成器试图减少或删除全局重置逻辑与HDL代码。此代码片段对应的Verilog代码生成延迟块的仿真软件万博1manbetx®模型。的代码片段显示,高密度脂蛋白编码器复位逻辑删除。

总是@ (posedge clk)开始:Delay_Synchronous_process如果(enb)开始Delay_Synchronous_out1 < = DataIn;结束结束

当你禁用这个参数,在高密度脂蛋白HDL编码器生成全局重置逻辑代码。这Verilog代码片段显示了复位逻辑生成的延迟块。

总是@ (posedge clk或posedge重置)开始:Delay_Synchronous_process如果(重置= = 1 'b1)开始Delay_Synchronous_out1 < = 1 'b0;其他结束开始如果(enb)开始Delay_Synchronous_out1 < = DataIn;结束结束结束

依赖

如果您选择减少全球重置,生成的HDL代码包含没有复位端口的寄存器。如果你不初始化这些寄存器,可以有潜在的高密度脂蛋白数值不匹配的仿真结果。为了避免模拟不匹配,您可以通过使用初始化寄存器无重置寄存器初始化设置。

默认情况下,无重置寄存器初始化设置的值内生成初始化模块,这意味着代码生成器初始化寄存器的DUT HDL代码生成。与脚本初始化寄存器,集无重置寄存器初始化生成一个外部脚本。你必须使用一个零块的初始值仿真软件模型。万博1manbetx

异常

有时,当你选择减少全球重置,高密度脂蛋白编码器生成复位逻辑,如果你有:

  • 块有一个非零初始值的状态,比如延迟块和非零初始条件

  • 枚举数据类型的块的状态。

  • 子系统块与黑箱高密度脂蛋白的架构,你请求一个复位信号。

  • 多重速率的模型定时控制器的体系结构设置为默认的

    如果你设置定时控制器的体系结构可重调,HDL编码产生一个复位端口定时控制器。如果你设置减少全局重置信号“上”,代码生成器会删除这个复位端口。

  • 真值表

  • 图表

  • MATLAB函数

命令行信息

属性:MinimizeGlobalResets
类型:特征向量
值:“上”|“关闭”
默认值:“关闭”

要设置该属性,使用功能hdlset_parammakehdl。要查看属性值,可以使用函数hdlget_param

例如,尽量减少全局重置信号时产生的HDL代码symmetric_fir子系统内部的sfir_fixed模型中,使用这两种方法。

  • 通过财产作为参数makehdl函数。

    makehdl (“sfir_fixed / symmetric_fir”,“MinimizeGlobalResets”,“上”)
  • 当你使用hdlset_param,你可以设置的参数模型,然后生成HDL代码使用makehdl

    hdlset_param (“sfir_fixed”,“MinimizeGlobalResets”,“上”)makehdl (“sfir_fixed / symmetric_fir”)

依赖

这个选项将被忽略,当HDL编码标准被设置为行业